Welcome![Sign In][Sign Up]
Location:
Search - fir vhdl

Search list

[VHDL-FPGA-Verilogfiltra-lowpass

Description: this a lowpass filtre in VHDL code with a test_bench you will find some specifications of the FIR-this is a lowpass filtre in VHDL code with a test_bench you will find some specifications of the FIR
Platform: | Size: 5120 | Author: mortadha | Hits:

[VHDL-FPGA-VerilogDSP

Description: FIR Digital Filter Design (DSP example) tested by Weijun Zhang, 04/2001 VHDL Data-Flow modeling KEYWORD: generate, array, range, constant and subtype- FIR Digital Filter Design (DSP example) tested by Weijun Zhang, 04/2001 VHDL Data-Flow modeling KEYWORD: generate, array, range, constant and subtype
Platform: | Size: 1024 | Author: mohamed | Hits:

[OtherNew-Text-Document.bmp

Description: basic fir filter implementation vhdl code
Platform: | Size: 2300928 | Author: raghav dwivedi | Hits:

[VHDL-FPGA-VerilogDIGITAL-SIGNAL-PROCESSING-WITH-FPGA

Description: 数字信号处理的FPGA实现最新版的源代码,涉及FFT变换、IIR、FIR数字滤波器等的verilog及vhdl代码-<digital signal processing with FPGA> (the latest version) . the source code involving FFT transform, IIR, FIR digital filters by verilog and vhdl.
Platform: | Size: 19156992 | Author: Rick007007 | Hits:

[VHDL-FPGA-VerilogFirFilterChol

Description: 在FPGA利用vhdl实现了32阶FIR滤波器。已经我利用了在几个对象。-In FPGA using VHDL to achieve a 32 order FIR filter. I ve used in many objects.
Platform: | Size: 12197888 | Author: kc218 | Hits:

[matlabxiaosong-fpga

Description: FIR数字滤波,亲自测试,都是源码,希望可以帮到大家,谢谢,谢谢!大家要好好学习呀,学习好VHDL(FIR digital filter, personally tested, are source code, and I hope to help you, thank you, thank you! We should study hard, good study, VHDL)
Platform: | Size: 6714368 | Author: dasdsadas | Hits:

[OtherComparative study of FFA architectures using different multiplier and adder topologies

Description: Parallel FIR filter is the prime block of many modern communication application such as MIMO, multi-point transceivers etc. But hardware replication problem of parallel techniques make the system more bulky and costly. Fast FIR algorithm (FFA) gives the best alternative to traditional parallel techniques. In this paper, FFA based FIR structures with different topologies of multiplier and adder are implemented. To optimize design different multiplication technique like add and shift method, Vedic multiplier and booth multiplier are used for computation. Various adders such as carry select adder, carry save adder and Han-Carlson adder are analyzed for improved performance of the FFA structure. The basic objective is to investigate the performance of these designs for the tradeoffs between area, delay and power dissipation. Comparative study is carried out among conventional and different proposed designs. The advantage of presented work is that; based on the constraints, one can select the suitable design for specific application. It also fulfils the literature gap of critical analysis of FPGA implementation of FFA architecture using different multiplier and adder topologies. Xilinx Vivado HLS tool is used to implement the proposed designs in VHDL.
Platform: | Size: 1123027 | Author: nalevihtkas | Hits:
« 1 2 ... 12 13 14 15 16 17»

CodeBus www.codebus.net